当前位置: 首页 > news >正文

平衡木网站建设wordpress头部高度

平衡木网站建设,wordpress头部高度,设计素材库,网站建设制作设计优化兰州03_led_horse_run_v1 在Verilog中实现跑马灯通常涉及到使用一个计数器来控制LED灯的亮灭顺序。 跑马灯是一种常见的电子显示方式#xff0c;它通过控制多个LED灯的顺序点亮#xff0c;形成一种动态的视觉效果#xff0c;看起来就像灯在“跑”一样。 更新 使用dip开关控制…03_led_horse_run_v1 在Verilog中实现跑马灯通常涉及到使用一个计数器来控制LED灯的亮灭顺序。 跑马灯是一种常见的电子显示方式它通过控制多个LED灯的顺序点亮形成一种动态的视觉效果看起来就像灯在“跑”一样。 更新 使用dip开关控制跑马灯的速度和方向 知识点 循环移位寄存器的使用两种不同的组合逻辑赋值风格(assign, always(*))灵活利用参数设计可复用模块(模块级参数局部参数) module led_horse_run #(parameter LED_ON 1b0, //led active lowparameter CLK_FREQ 50*1000*1000 //frequency of input clock )(input clk,input rst_n,input wire [5:0] dip_u6,output reg [5:0] led );// //Local parameter, same as const in c/c //For 50Mhz clock, //one second count to 50*1000*1000 //one millisecond count to 50*1000 //localparam ONE_SECOND CLK_FREQ; localparam HALF_SECOND ONE_SECOND / 2; localparam ONE_MSECOND ONE_SECOND / 1000;//------------------------------------------- //control the running speed and direction //according to the status of dip keys wire [1:0] speed dip_u6[1:0]; wire direct dip_u6[5];//First style of assignment for combinational logic, (not for sequential logic) //Note: left value must be wire type, here wire [31:0] count_max; wire [31:0] count_max; assign count_max (speed 0) ? ONE_MSECOND * 2000 :(speed 1) ? ONE_MSECOND * 1000 :(speed 2) ? ONE_MSECOND * 500 : ONE_MSECOND * 200; /* //another style of assignment of combinational logic //Note: left value must be reg type, here reg [31:0] count_max; reg [31:0] count_max; always (*) begincount_max (speed 0) ? ONE_MSECOND * 2000 :(speed 1) ? ONE_MSECOND * 1000 :(speed 2) ? ONE_MSECOND * 500 : ONE_MSECOND * 200; end *///--------------------------------------------------------- reg [31:0] count; wire time_on (count count_max-1);always (posedge clk) beginif(~rst_n) count 0;else if(time_on) count 0;else count count 1; endwire [5:0] init_led_status (LED_ON 1b1) ? 6b000001 : 6b111110;always (posedge clk) beginif(~rst_n) led init_led_status;else if(time_on) beginif(direct 1b1) led {led[4:0], led[5]} ; //shift leftelse led {led[0],led[5:1]} ; //shift rightend//else led led; endendmodule 扩展思维 适了解流水灯和跑马灯的区别适当修改上面的跑马灯代码实现流水灯的效果。
http://www.zqtcl.cn/news/51391/

相关文章:

  • 有了域名怎么建设网站网站管理员权限怎么设置
  • 电脑报网站建设详情页设计风格
  • 提供佛山顺德网站建设seo公司招聘
  • 做网站用什么浏览器好怎样找做淘宝客的网站
  • 拨付网站建设费用的报告搜索引擎收录入口
  • 网站开发的背景是指什么软件电子购物网站开发公司
  • dw创建网站相册怎样发布自己的网站
  • 做网站用买服务器码长沙网红美食
  • 企业手机端网站源码宁波网站建设软件开发
  • 网上做娱乐广告的网站中心网站建设管理工作
  • 北京网站制作设计哪个公司好济南seo网站关键词排名
  • 搜索引擎推广网站网页页面设计报价
  • 中牟网站制作网站和网店的区别
  • wap 网站模板做好网站功能性建设工作
  • 河北工程大学网站开发成本如何推广自己的外贸网站
  • 大型网站开发用什么语言深圳市坪山区
  • thinphp 做外贸网站网站开发转包协议
  • 企业网站优化设计的含义电商运营学校培训
  • 免费制作永久企业网站网站没有后台登陆文件夹
  • 深圳西乡建网站网址查询域名
  • 做类似美团的网站dw怎样做网站切换
  • 专业网站建设办公教育网站设制下载
  • 网站建设设计时代创信好南京怎么做网站
  • 大庆建设局网站首页wordpress 含演示数据
  • 网站做app的软件适合做推广的平台
  • 手机能建设网站忙弄一个公司网站需要多少钱
  • 网站怎么申请it运维是什么工作
  • 企业网站招聘可以怎么做做网站,图片显示不出来
  • 湖北天健建设集团有限公司网站内网wordpress响应慢
  • 郑州营销网站托管公司哪家好甘肃制作网站