当前位置: 首页 > news >正文

网站安全 代码免费开发个人小程序的平台

网站安全 代码,免费开发个人小程序的平台,项目建设全过程,深圳国内设计网站文章目录 该系列目录#xff1a;设计环境设计目标设计思路RTL及Testbench代码RTL代码Testbenchxdc约束 仿真结果 声明#xff1a;案例和代码来自小梅哥课程#xff0c;本人仅对知识点做做笔记#xff0c;如有学习需要请支持官方正版。 该系列目录#xff1a; Verilog线性… 文章目录 该系列目录设计环境设计目标设计思路RTL及Testbench代码RTL代码Testbenchxdc约束 仿真结果 声明案例和代码来自小梅哥课程本人仅对知识点做做笔记如有学习需要请支持官方正版。 该系列目录 Verilog线性序列机点灯案例一 Verilog线性序列机点灯案例二 Verilog线性序列机点灯案例三 Verilog线性序列机点灯案例四 设计环境 Vivado2018.3 软件 Zynq-7000 xc7z010clg400-1 板卡 设计目标 在案例三中提到让一个led根据8个拨码开关的值来循环变化每个拨码开关负责0.25秒一共是2秒。 在任务四中我们需要在每次动态变化前加入1秒的空闲时间空闲时间led是熄灭的 设计思路 1秒的空闲时间需要一个计数器来计算假设为counter0 2秒的动态变化可以像案例二中一样用两个计数器来完成假设为counter1和counter2 counter1用来计数0.25秒counter2在counter1每次计满时加1最后将sw[counter2]输出给led。 需要注意的是动态变化是从1秒空闲时间后开始的所以counter1和counter2必须在counter0计满以后才能开始工作。 在动态变化完成后即counter1和counter2都计满的情况下counter0再次重新开始工作。 RTL及Testbench代码 RTL代码 module led_ctrl3(clk,rst_n,sw,led_out );input clk;input rst_n;input [7:0] sw;output reg led_out;//counter0用于计数一秒钟reg [25:0] counter0;//counter1用于计数0.25秒reg [25:0] counter1;//counter2用于计数0到7reg [2:0] counter2;//标记可以闪烁了reg flag;//50M cyclesparameter MCNT1S 50_000_000;//12.5M cyclesparameter MCNT025S 12_500_000;//控制flag状态//flag为0时为空闲状态led熄灭counter0开始计数到1秒//flag为1时为忙碌状态led动态闪烁counter1和counter2正常计数always(posedge clk or negedge rst_n) beginif(!rst_n) beginflag 0;end else if(counter0 MCNT1S -1 ) beginflag 1;end else if( (counter1 MCNT025S -1) (counter2 7) ) beginflag 0;end else beginflag flag;endend//计数空闲的1秒always(posedge clk or negedge rst_n) beginif(!rst_n) begincounter0 0;end else if(flag 0) beginif(counter0 MCNT1S -1)begincounter0 0;end else begincounter0 counter0 1;endendend//负责在flag为1时计算0.25秒always(posedge clk or negedge rst_n) beginif(!rst_n) begincounter1 0;end else if(flag 1) beginif(counter1 MCNT025S - 1) begincounter1 0;end else begincounter1 counter1 1;endendend//当flag为1时counter2每当counter1计满时自增1//如果counter1和counter2都计满则进入空闲时刻always(posedge clk or negedge rst_n) beginif(!rst_n) begincounter2 0;end else if(flag 1) beginif(counter1 MCNT025S - 1) beginif(counter2 7) begincounter2 0;end else begincounter2 counter2 1;endendendend//负责根据counter2决定led_out输出always(posedge clk or negedge rst_n) beginif(!rst_n) beginled_out 0;end else beginif(flag) begincase(counter2)0:led_out sw[0];1:led_out sw[1];2:led_out sw[2];3:led_out sw[3];4:led_out sw[4];5:led_out sw[5];6:led_out sw[6];7:led_out sw[7];endcaseendendend endmoduleTestbench timescale 1ns / 1psmodule tb_led_ctrl3();reg clk;reg rst_n;reg [7:0] sw;wire led_out;led_ctrl3 led_ctrl3_inst0(.clk(clk),.rst_n(rst_n),.sw(sw),.led_out(led_out) );defparam led_ctrl3.MCNT1S 50_000;defparam led_ctrl3.MCNT025S 12_500;initial beginclk 1;endalways #10 clk~clk;initial beginrst_n 0;#205;rst_n 1;sw 8b01010101;#3_000_000;sw 8b11110000;#3_000_000;$stop;end endmodule xdc约束 set_property PACKAGE_PIN T14 [get_ports led_out] set_property PACKAGE_PIN U18 [get_ports clk] set_property PACKAGE_PIN F20 [get_ports rst_n] set_property IOSTANDARD LVCMOS33 [get_ports {sw[7]}] set_property IOSTANDARD LVCMOS33 [get_ports {sw[6]}] set_property IOSTANDARD LVCMOS33 [get_ports {sw[5]}] set_property IOSTANDARD LVCMOS33 [get_ports {sw[4]}] set_property IOSTANDARD LVCMOS33 [get_ports {sw[3]}] set_property IOSTANDARD LVCMOS33 [get_ports {sw[2]}] set_property IOSTANDARD LVCMOS33 [get_ports {sw[1]}] set_property IOSTANDARD LVCMOS33 [get_ports {sw[0]}] set_property IOSTANDARD LVCMOS33 [get_ports clk] set_property IOSTANDARD LVCMOS33 [get_ports led_out] set_property IOSTANDARD LVCMOS33 [get_ports rst_n] set_property PACKAGE_PIN E17 [get_ports {sw[7]}] set_property PACKAGE_PIN D18 [get_ports {sw[6]}] set_property PACKAGE_PIN H15 [get_ports {sw[5]}] set_property PACKAGE_PIN F16 [get_ports {sw[4]}] set_property PACKAGE_PIN J14 [get_ports {sw[3]}] set_property PACKAGE_PIN G14 [get_ports {sw[2]}] set_property PACKAGE_PIN L15 [get_ports {sw[1]}] set_property PACKAGE_PIN K14 [get_ports {sw[0]}]仿真结果
http://www.zqtcl.cn/news/984960/

相关文章:

  • 游戏网站建设免费版百度只更新快照不收录网站
  • html小清新类型网站网站建设中应注意哪些问题
  • 网站开发技术和seo的联系精品课程网站建设 公司
  • 大型网站建设一般多少钱wordpress 调用百度前端公众库
  • 个人电脑做网站服务器网站地方网站域名用全拼
  • 我们网站在那里登陆后台系统管理成都app制作开发
  • 可以做问卷调查的网站仙居网站建设
  • 知名网站建设公司电话做一个小程序需要多少钱
  • 外贸找客户有什么网站个人如何做网站
  • 旅游项目网站开发ui界面设计分析
  • 企业建设网站没有服务器代理网页浏览
  • 深圳网站建设新闻网站建设营销的企业
  • 建筑设计网站软件排名工具
  • wordpress theme珠宝最适合seo的网站源码
  • 建设工程规划许可证查询网站畜牧业网站模板
  • 做网站大概要多少钱页面网站缓存如何做
  • 家电网站建设需求分析朔州网络推广
  • 陕西交通建设集团网站体检网络营销中自建网站
  • 做游戏的php网站有哪些微信商城是什么
  • wordpress memcached redux深圳网站优化方法
  • 移动商城 网站建设方法方式韩国导航地图app
  • 企业网站源码是什么瑞安企业做网站
  • 佛山深圳建网站wordpress 段代码
  • 网站备案 强制仿牌网站容易被攻击吗
  • 网站做访问追踪js特效演示网站
  • 建设网站女装名字大全宝宝投票网站怎么做
  • 江苏省建设厅网站首页天津百度网站排名优化
  • 织梦网络设计工作室网站模板镇江市精神文明建设网站
  • 网站管理工具装修公司设计软件有哪些
  • 招标网站的服务费怎么做分录什么网站做玩具的比较多