当前位置: 首页 > news >正文

网站建设开发软件教程优化设计五年级下册数学答案

网站建设开发软件教程,优化设计五年级下册数学答案,济南模板建站软件,建设网站八大员成绩查询1 原理讲解 距离上一次说PID算法的事情过去蛮久了#xff0c;今天又重新看了看PID的代码#xff0c;其实还是存在一些不合理的地方。 整理归纳了一下原理#xff0c;位置式和增量式的变化。 2 工程实现 timescale 1ns / 1psmodule pid_controller(input clk,input r…1 原理讲解 距离上一次说PID算法的事情过去蛮久了今天又重新看了看PID的代码其实还是存在一些不合理的地方。 整理归纳了一下原理位置式和增量式的变化。 2 工程实现 timescale 1ns / 1psmodule pid_controller(input clk,input rst_n,input [15:0] setpoint,input [15:0] feedback,input [15:0] Kp,input [15:0] Ki,input [15:0] Kd,input [15:0] clk_prescaler,output reg [15:0] control_signal );// Internal signalsreg [15:0] prev_error 16h0000;reg [15:0] integral 32h00000000;reg [15:0] derivative 16h0000;// Clock divider for sampling ratereg [15:0] clk_divider 0;reg sampling_flag 0;always (posedge clk or negedge rst_n) begin//$display(Clock trigered);if (~rst_n)clk_divider 16h0000;else if (clk_divider clk_prescaler) begin // clk_prescaler determines the sampling rate, thus sampling rate would be clk freq/clk_prescalerclk_divider 16h0000;sampling_flag 1;end else beginclk_divider clk_divider 1;sampling_flag 0;endendalways (posedge clk or negedge rst_n) beginif (~rst_n) begin// Reset logic generally specific to applicationend else if (sampling_flag) begin// PID Calculationintegral integral (Ki * (setpoint - feedback));$display(Integral is %d,integral);derivative Kd * ((setpoint - feedback) - prev_error);// Calculate control signalcontrol_signal (Kp * (setpoint - feedback)) integral derivative; prev_error (setpoint - feedback);// Update previous error term to feed it for derrivative term.endendendmoduletestbench timescale 1ns / 1psmodule pid_tb( );reg clk 0;reg rst_n 0;reg [15:0] setpoint 0;reg [15:0] feedback 0;reg [15:0] Kp 0;reg [15:0] Ki 0;reg [15:0] Kd 0;reg [15:0] clk_prescaler 0;wire [15:0] control_signal;pid_controller DUT(.clk(clk),.rst_n(rst_n),.setpoint(setpoint),.feedback(feedback),.Kp(Kp),.Ki(Ki),.Kd(Kd),.clk_prescaler(clk_prescaler),.control_signal(control_signal));initial beginrst_n 0; // Assert resetclk_prescaler 5; setpoint 20;Kp 5;Ki 2;Kd 1;#20 rst_n 1; // Deassert resetendalways #1 clk ~clk;always begin$monitor(Control signal is %d,control_signal);#20 feedback 1;#15 feedback 5;#15 feedback 8;#15 feedback 10; #15 feedback 13; #15 feedback 15; #15 feedback 16; #15 feedback 25; #25 $finish;endendmodule
http://www.zqtcl.cn/news/728732/

相关文章:

  • 福建建设人才与科技发展中心seo导航站
  • 修文县生态文明建设局网站郑州制作网站哪家好
  • 泉州网站优化排名东莞长安做网站公司
  • 网站制作公司 顺的有口碑的赣州网站建设
  • 成都网站设计制作苏州新闻
  • 黑色网站设计iis 网站 红
  • 专业做家居的网站佛山做网站永网
  • 医疗网站建设讯息企业门户网站建设思路
  • 四川建设安全监督管理局网站网站传送门怎么做
  • 哪家网站做推广好优化师和运营区别
  • 鹰潭网站建设公司南宁行业平台开发公司
  • 织梦如何仿手机网站源码奉贤区专业建网站
  • 上海网站建设接单wordpress htaccess 404
  • 长春网站优化指导网站怎样做301跳转
  • 做网站域名是什么意思临沧网站开发
  • 怎么在网站上做网页专业图库网站 西安
  • 龙南建设局网站wordpress 购物导航网站
  • 做数据分析好看的网站自己做背景的网站
  • 做纸棋的网站制作什么网站做毕业设计
  • 上海易雅达网站建设公司广元网站开发
  • 网站备案注销北京优化健康宝
  • 网站地图怎么做XML深圳公共资源交易中心
  • 高碑店做网站的公司湛江专业建站推荐
  • 中国建设银行官网的网站首页c2c电子商务网站建设栏目结构图
  • 做网站的软件图标上海建站外贸
  • 保定网站建设推广成都移动端网站建设
  • 服务平台型网站做那个网站比较好
  • 网站做icp备案需要多久上海人才引进官网
  • 国外的设计网站app有什么好的免费网站做教育宣传语
  • 做期货都看那些网站淮北网