当前位置: 首页 > news >正文

网站建设的风险分析泉山徐州网站开发

网站建设的风险分析,泉山徐州网站开发,做网站怎么用国处服务器,备案系统网站接上篇csdn博客验证知识之在module里面get class里面的set参数-CSDN博客文章浏览阅读155次。验证知识之在module里面get class里面的set参数https://blog.csdn.net/pgl512228/article/details/141748039?spm1001.2014.3001.5501 我们是通过uvm_config_db去get到的class句柄1001.2014.3001.5501 我们是通过uvm_config_db去get到的class句柄但是当get的值更换了module不知道什么时候重新get所以为了独立写了下面的文章 第一部分定义虚拟类 base_set_cfg virtual class base_set_cfg extends uvm_object;function new(string name base_set_cfg);super.new(name);endfunction// 纯虚拟函数子类需要实现pure virtual function void set_my_cfg(test_cfg cfg); endclass解释: base_set_cfg 是一个虚拟类继承自 uvm_object。定义了一个纯虚拟函数 set_my_cfg要求子类实现该函数。 第二部分在module里定义 module_get 类 class module_get extends base_set_cfg;uvm_object_utils_begin(module_get)uvm_object_utils_endfunction new(string name module_get);super.new(name);endfunctionfunction void set_my_cfg(test_cfg cfg);// 实现基类的纯虚拟函数this.set_my_cfg cfg;endfunction endclass解释: module_get 类继承自 base_set_cfg并实现了 set_my_cfg 方法。使用 uvm_object_utils 宏注册该类以便于 UVM 工具的工厂机制。 第三部分在 module 中通过 uvm_config_db 发送指针 module my_module;initial begin// 在配置数据库中注册 module_get 类的实例uvm_config_db#(base_set_cfg)::set(null, *, get_cfg, module_get::type_id::create(module_get));end endmodule解释: 使用 uvm_config_db#(base_set_cfg)::set 将 module_get 的实例注册到配置数据库中。这样在其他地方可以通过 uvm_config_db 获取到这个实例。 第四部分在 env 中获取并使用指针 class my_env extends uvm_env;base_set_cfg set_cfg;test_cfg cfg;function void build_phase(uvm_phase phase);super.build_phase(phase);// 从配置数据库中获取 base_set_cfg 类型的指针if (!uvm_config_db#(base_set_cfg)::get(null, get_full_name(), get_cfg, set_cfg)) beginuvm_fatal(GET_CFG_FAIL, Get get_cfg failed)end// 随机化配置cfg.randomize();// 调用 set_my_cfg 方法set_cfg.set_my_cfg(cfg);endfunction endclass解释: 在 build_phase 中使用 uvm_config_db#(base_set_cfg)::get 从配置数据库中获取 base_set_cfg 的指针。如果获取失败使用 uvm_fatal 输出错误信息。随机化配置 cfg然后通过调用 set_my_cfg 方法将 cfg 传递给 set_cfg。 总结 定义虚拟类 base_set_cfg并在其中声明纯虚拟函数 set_my_cfg。定义具体实现类 module_get继承自 base_set_cfg 并实现 set_my_cfg。在 module 中注册 module_get 实例到 uvm_config_db。在 env 中获取 base_set_cfg 指针并使用它来调用 set_my_cfg。 这样通过 uvm_config_db 可以在不同的组件之间共享配置对象并根据需要进行操作。
http://www.zqtcl.cn/news/542410/

相关文章:

  • 江苏省 建设 注册中心网站首页淮南建筑网
  • 网站备案核wordpress页面菜单
  • 凤阳县城乡建设局网站设计本app下载
  • 网站建设实用教程网站后台制作表格
  • 微信官方网站注册新开的网页游戏平台
  • 福州专业建站网站代码的重点内容是什么
  • jsp网站架构网站设计的主要内容
  • html电子商务网站模板wordpress 随机阅读数
  • 湖南省军区强军网网站群建设项目免费网页托管
  • 网站背景图政协网站 两学一做专题研讨
  • 买域名建网站郑州做网站优化运营商
  • 建设宠物店网站114查询
  • 怎么查网站关键词排名微信与与网站建设
  • 湖州高端网站建设医疗网站源码
  • 有什么网站是做兼职的直播视频怎么录制
  • 扬州市网站建设工作室免费模板网站建设
  • 网站大全全部优秀网站设计流程
  • 授权网站系统网站标题如何修改
  • 商城网站大概多少钱考证培训机构报名网站
  • 马鞍山做网站怎么看网站谁做的
  • 网站建设捌金手指专业7网站如何设置广告
  • 做网站用什么浏览器好工程公司工作总结
  • 温州做网站哪家好为wordpress移动端
  • 温州平阳县企业网站搭建推荐建立网站的技术路径
  • php c2c网站开发的 书营销型网站sempk
  • 网站建设专业网站设计公司物格网陕西建省级执法人才库
  • 网站后台管理密码忘了建设网站简单吗
  • 做网站在哪里网站开发平台有哪些
  • 网站域名的建立推荐一个两学一做的网站
  • 网站开发开源框架企业影视广告制作公司