当前位置: 首页 > news >正文

网站维护费用计入什么科目05网暑假作业答案初一

网站维护费用计入什么科目,05网暑假作业答案初一,校园互动平台网站建设,海报设计说明在序列或者属性的内部可以局部定义变量,而且可以对这种变量进行赋值。变量接着子序列放置,用逗号隔开。如果子序列匹配,那么变量赋值语句执行。每次序列被尝试匹配时,会产生变量的一个新的备份。 module cubed(enable1, a, aa, clk);input logic [7:0] a; input logic enable1,…        在序列或者属性的内部可以局部定义变量,而且可以对这种变量进行赋值。变量接着子序列放置,用逗号隔开。如果子序列匹配,那么变量赋值语句执行。每次序列被尝试匹配时,会产生变量的一个新的备份。 module cubed(enable1, a, aa, clk);input logic [7:0] a; input logic enable1, clk; output logic [23:0] aa;reg [7:0] valid_a; reg [23:0] temp_aa;initial begin aa 23bz; endalways(posedge clk) beginif(enable1)valid_a a; endalways(valid_a) begintemp_aa valid_a * valid_a * valid_a;repeat(4) (posedge clk);aa temp_aa;repeat(1) (posedge clk);aa 23bz; endendmodulemodule tb;reg clk, enable1; reg [7:0] a; wire [23:0] aa;cubed i1 (enable1, a, aa, clk);initial $vcdpluson();initial begin clk 1b0; a 8bz; enable1 1b0; repeat(2) (posedge clk); enable1 1b1; a 8d5; repeat(2) (posedge clk); enable1 1b0; a 8bz; repeat(3) (posedge clk); enable1 1b1; a 8d6; repeat(2) (posedge clk); enable1 1b0; a 8bz; repeat(4) (posedge clk); $finish(); endinitial forever clk #25 ~ clk;property p_local_var1; int lvar1;(posedge clk) ($rose(enable1), lvar1 a) |- ##4 (aa (lvar1*lvar1*lvar1)); endpropertya_local_var1: assert property(p_local_var1); endmodule 属性 p_local_var1查找信号“ enable”的上升沿。如果找到,局部变量“ lvar1”保存设计中向量“a”的值。在4个周期后,检查设计的输出向量“aa”是否与局部变量的值的立方相等。属性的后续算子等待设计满足延迟(4个时钟周期),然后将设计的实际输出和属性局部计算的值比较。图1-41显示了检验在模拟中的响应。         标记1s显示了信号“ enable1”的上升沿被采样到的点,在这点,向量“a”的值为5,被保存在局部变量“lvar1”中。标记1e标出了输岀被釆样的点,它在输入值被保存的4个时钟周期之后。在标记1e的点,因为输出值(125)与局部变量“ Ivar1”的值的立方相等,断言成功。类似地,标记2s显示了下一个输入数据被保存的时刻,标记2e标出了输出被采样并且与局部变量“ Ivar1”的立方值比较的时间点。         可以在SVA中保存和操作局部变量。 property p_lvar_accum;int lvar;(posedge clk) $rose(start) |(enable1 ##2 enable2 ,lvarlvaraa)[*4]##1 (stop (aout lvar)); endpropertya_lvar_accum :assert property(p_lvar_accum); 属性 p_Ivar_accum检查下列内容:                 (1)在任意给定的时钟上升沿,如果检测到信号“ start”的上升沿,标志一个有效开始。                 (2)在一个周期后,寻找一个特定的模型或者子序列。信号“ enable1”必须被检测为高,且两个周期后,“ enable2”应该被检测为高。这个子序列必须连续重复4次。                 (3)在子序列的每次重复中,向量“a”的值在序列内部被累加。在重复结束时,局部变量保存着向量“a”累加4次的值。                 (4)在重复结束的下一个时钟周期,期望信号“stop”为高,且局部变量保存的值与输出向量“aout”的值相等。图1-42显示了检验在模拟中的响应。         标记1s显示了当信号“start”被检测为高时所产生的一个有效开始。标记1e显示了检验的结束点。信号“ enable*”成功地重复4次并且在一个时钟周期后,信号“stop”如期望的被检测为高。局部变量保存的值与输出向量“aout”值相同,因此检验在标记1e处成功。
http://www.zqtcl.cn/news/264616/

相关文章:

  • 佛山做外贸网站方案自助网站推广系统
  • 安徽鸿顺鑫城建设集团网站小区物业管理网站开发报告
  • 有关网站建设文章常熟做网站多少钱
  • 网站流量报表江苏住房和城乡建设厅网站
  • 提供做网站公司有哪些个人建网站的费用
  • 网站后台添加表格wordpress垂直分页导航插件
  • 重庆网站建设有限公司六安市裕安区建设局网站
  • 北京产品网站建设如何做移动支付网站
  • 做同城购物网站赚钱吗设计企业网站流程
  • 网站要用什么软件做建设工程施工合同专属管辖
  • 模板网站建设制作佛山正规网站建设哪家好
  • 飞虎队网站建设网络公司起名大全
  • 如何发布自己的网站视频8首页制作代码
  • 网站开发实用案例教程普陀网站制作
  • 建一个网站需要哪些知识招远网站建设
  • 文章标题-栏目名称-网站名 dede环保网站设计建设论文
  • centos wordpress建站北京专门做网站的
  • wordpress网站的彻底清理百度网站联系方式
  • 网站建设签收单网页制作模板的作用
  • 已购买域名 如何做网站网络规划设计师通过率多少
  • 酒店网站建设需求分析wordpress iis
  • 烟台网站建设服务新钥匙网站建设
  • 帝国cms网站地图生成器行业网站建设哪家专业
  • 免费推广网站大全wordpress更改图片大小
  • 中航建设集团网站vps网站无法通过ip访问
  • 学生求职网站的需求分析怎么做江西手机版建站系统开发
  • 电商网站开发文献综述嵌入式软件开发项目
  • 网站备案怎样提交管局网站建设基本步骤
  • 国外优秀电商设计网站开发网站公司推荐
  • 国外企业网站建设模型网站建设谈客户说什么