当前位置: 首页 > news >正文

宁夏网站推广在线代理访问

宁夏网站推广,在线代理访问,wordpress 更改密码,广州网站建设 美词文章目录 前言一、局部二值化二、Python局部二值化三、FPGA局部二值化总结 前言 局部二值化较全局二值化难#xff0c;我们将在此实现Python与FPGA的局部二值化处理。 一、局部二值化 局部二值化就是使用一个窗口#xff0c;在图像上进行扫描#xff0c;每扫出9个像素求平均… 文章目录 前言一、局部二值化二、Python局部二值化三、FPGA局部二值化总结 前言 局部二值化较全局二值化难我们将在此实现Python与FPGA的局部二值化处理。 一、局部二值化 局部二值化就是使用一个窗口在图像上进行扫描每扫出9个像素求平均再和阈值进行比较。如果9个像素的平均值大与等于阈值就将窗口中心对应的图像像素设置为255否则就设置为0。 二、Python局部二值化 以下虽然是局部二值化处理但是在此基础上增加了阈值乘以一个ratio小数可以调整阈值实现局部阈值二值化处理。 import numpy as np import matplotlib.pyplot as plt img plt.imread(lenna.png) gray 0.299 * img[:, :, 0] 0.587 * img[:, :, 1] 0.114 * img[:, :, 2] gray gray * 255#图像是[0-1]---[0-255] def local_threshold(gray, ratio, threshold, size3):h, w gray.shapem int((size - 1) / 2)local_image np.zeros((h, w))for i in range(m, h - m):for j in range(m, w - m):value np.floor((np.sum(gray[i - m: i m 1, j - m: j m 1]) / (size ** 2)) * ratio)if(value threshold):local_image[i, j] 0else:local_image[i, j] 255return local_image.astype(np.uint8)local_image local_threshold(gray, 0.9, 128, 3) fig plt.figure(figsize(8, 8)) ax fig.add_subplot(1, 2, 1) ax.set_title(gray image) ax.set_xlabel(width) ax.set_ylabel(height) plt.imshow(gray, cmapgray) ax fig.add_subplot(1, 2, 2) ax.set_title(local image) ax.set_xlabel(width) ax.set_ylabel(height) plt.imshow(local_image, cmapgray)三、FPGA局部二值化 module ycbcr2binary_local (input wire vga_clk ,//vga时钟input wire sys_rst_n ,//复位信号input wire [7:0] y_data ,//灰度处理的图像像素input wire rgb_valid ,//vga显示有效区域output wire [15:0] binary_data //二值化像素 );//shift ram wire [7:0] data_row1 ; wire [7:0] data_row2 ; wire [7:0] data_row3 ; //3*3像素数据 reg [7:0] p11 ; reg [7:0] p12 ; reg [7:0] p13 ; reg [7:0] p21 ; reg [7:0] p22 ; reg [7:0] p23 ; reg [7:0] p31 ; reg [7:0] p32 ; reg [7:0] p33 ;wire [7:0] temp ; //Y值有效信号 reg y_valid ;assign data_row3 y_data ; //中值拼接565 assign temp (p11 p12 p13 p21 p22 p23 p31 p32 p33) / 9;//9个像素平均值 assign binary_data (temp 8d128) ? 16hffff: 16h0000;//比较并设置像素 always(posedge vga_clk or negedge sys_rst_n) if(sys_rst_n 1b0)y_valid 1b0 ;elsey_valid rgb_valid ;always(posedge vga_clk or negedge sys_rst_n)if(sys_rst_n 1b0)begin{p11,p12,p13} 24d0 ;{p21,p22,p23} 24d0 ;{p31,p32,p33} 24d0 ;endelse if(y_valid 1b1)begin{p11,p12,p13} {p12,p13,data_row1} ;{p21,p22,p23} {p22,p23,data_row2} ;{p31,p32,p33} {p32,p33,data_row3} ;end elsebegin{p11,p12,p13} 24d0 ;{p21,p22,p23} 24d0 ;{p31,p32,p33} 24d0 ;end //移位寄存器 shift_ram_gen shift_ram_gen_inst (.clock (vga_clk ),.shiftin (data_row3 ),.shiftout ( ),.taps0x (data_row2 ),.taps1x (data_row1 ) );endmodule 在FPGA中小编没有将阈值乘上一个小数如果要和Python实现一致你也可以尝试加上。 总结 图像二值化也就告一段落二值化比较基础下一章节聊聊sobel边缘检测。还是一样sobel是谁不重要重要的是要用他去完成sobel检测。敬请期待。
http://www.zqtcl.cn/news/860812/

相关文章:

  • 菜鸟是什么网站威海网站建设费用
  • 网站开发花费如何制作个人网页兼职
  • 网站鼠标特效用户体验最好的网站
  • 网站设计步骤图南通网站建设公司
  • 做盗版系统网站会不会开发次元世界
  • 下载爱南宁官方网站手机app开发软件有哪些
  • 云浮网站设计不收费的企业查询网站
  • 网站栏目怎么做iis网站筛选器被挂马
  • 网站开发中遇到的主要问题品牌营销策略包括哪些内容
  • 网站制作易捷网络十大社区团购平台有哪些
  • 哈尔滨口碑好的建站公司做网站制作一般多少钱
  • 河南网站网站制作华为品牌vi设计
  • 网站设置默认主页甘肃省第八建设集团公司网站
  • 自己做网站美工关键词优化排名网站
  • 淄博手机网站建设报价商业网站地方频道
  • 小说网站开发业务逻辑php 网站
  • 专业的做网站动态个人网站模板
  • 设计师网站设计网站开发试题库
  • 做网站是用c 吗东莞网络推广优化
  • 外贸soho网站建设wordpress配置搜索引擎优化
  • 嘉兴网站公司安卓优化大师2023
  • 电影网站开发影院座位问题正能量网站大全
  • dede手机网站更新成安专业做网站
  • 做能支付的网站贵吗品牌策划费用
  • 营销网站开发网站建设工作室
  • 如何把自己做的网站挂网上网页版梦幻西游红色伙伴搭配
  • 网站正在建设中 倒计时软件开发培训机构找极客时间
  • 贵阳网站建设搜q479185700大学网站栏目建设
  • 开发网站找什么公司吗电影网站域名
  • 网站栏目设计怎么写黑龙江建设网官