当前位置: 首页 > news >正文

盐城网站建设效果网站开发如何处理兼容性问题

盐城网站建设效果,网站开发如何处理兼容性问题,苏州马可波罗网站建设,兰州网站建设营销q479185700刷屏学习芯片#xff1a; EP4CE6F17C8 本次学习使用的为共阴极数码管#xff0c;即用低电平点亮数码管#xff0c;同样可知#xff0c;共阳极数码管的阳极连在一起#xff0c;即用高电平点亮数码管。 八段数码管示意图#xff1a; a,b,c,d,e,f,g,dg表示八段数码管时#…学习芯片 EP4CE6F17C8 本次学习使用的为共阴极数码管即用低电平点亮数码管同样可知共阳极数码管的阳极连在一起即用高电平点亮数码管。 八段数码管示意图 a,b,c,d,e,f,g,dg表示八段数码管时a为最低位dp为最高位 八段数码管用8位二进制表示由于本次实验使用共阴极数码管所以0表示亮1表示灭即数码管显示数字0我们可以用8b1100_0000表示,数字F使用8b1000_0111表示同理可知共阴极数码管和共阳极数码管互为对方的按位取反。 任务描述: 六个数码管同时间隔0.5s显示0-f。要求使用一个顶层模块调用计时器模块和数码管静态显示模块。 模块原理图 代码设计 /* 2023.7.13 计时0.5s计满输出高电平 */module time_count(input wire clk ,input wire rst_n ,output reg flag);parameter MAX_NUM 26d24_999_999;//0.5sreg [24:0] cnt;always (posedge clk or negedge rst_n) beginif(!rst_n) begincnt 26d0;flag 1b0;endelse if(cnt MAX_NUM) begincnt 26d0;flag 1b1;endelse begincnt cnt 1d1;flag 1b0;end endendmodule /* 2023.7.13 数码管显示 */module seg_led_static(input wire clk ,input wire rst_n ,input wire flag ,//计满0.5s后输入的高电平output reg [5:0] sel ,//位选信号output reg [7:0] seg //段选信号);reg [3:0] num;//保存当前数码管显示的数字always (posedge clk or negedge rst_n) beginif(!rst_n)beginsel 6b111111;endelse beginsel 6b000000;//打开全部数码管end endalways (posedge clk or negedge rst_n) beginif(!rst_n) beginnum 4h0;endelse if(flag) beginnum num 1h1;endelse beginnum num;end endalways (posedge clk or negedge rst_n) beginif(!rst_n)beginseg 8b0;endelse begincase(num)4h0: seg 8b1100_0000;//匹配到后参考共阴极真值表4h1: seg 8b1111_1001;4h2: seg 8b1010_0100;4h3: seg 8b1011_0000;4h4: seg 8b1001_1001;4h5: seg 8b1001_0010;4h6: seg 8b1000_0010;4h7: seg 8b1111_1000;4h8: seg 8b1000_0000;4h9: seg 8b1001_0000;4ha: seg 8b1000_1000;4hb: seg 8b1000_0011;4hc: seg 8b1100_0110;4hd: seg 8b1010_0001;4he: seg 8b1000_0110;4hf: seg 8b1000_1110;default : seg 8b1100_0000;endcase end endendmodule/* 2023.7.13 静态数码管显示间隔0.5s输出0——F. */module top_seg_led_static(input wire clk ,input wire rst_n ,output wire [5:0] sel ,output wire [7:0] seg );parameter MAX_NUM 26d24_999_999; wire flag_reg;time_count #(.MAX_NUM (MAX_NUM)) u_time_count(.clk (clk),.rst_n (rst_n),.flag (flag_reg));seg_led_static u_seg_led_static(. clk (clk),. rst_n (rst_n),. flag (flag_reg),. sel (sel),. seg (seg));endmodule测试文件 timescale 1ns/1ns module top_seg_led_static_tb();reg clk;reg rst_n;wire [5:0] sel;//位选信号wire [7:0] seg;//段选信号parameter MAX_NUM 9;//切换一次状态的周期数量parameter CYCLE 20;//周期always #(CYCLE/2) clk ~clk;initial beginclk 1b0;rst_n 1b0;//开始复位#(CYCLE);rst_n 1b1;//结束复位#((MAX_NUM 1)*CYCLE*16);$stop;endtop_seg_led_static #(.MAX_NUM (MAX_NUM)) u_top_seg_led_static(.clk(clk),.rst_n(rst_n),.sel(sel),.seg(seg));endmodule我们通过modelsim仿真结果如下 运行结果
http://www.zqtcl.cn/news/343648/

相关文章:

  • 嵌入字体的网站网站结构和布局区别
  • 莆田网站建设五维网络有限公司零基础网站开发要学多久
  • 重庆官方网站查询系统2020最近的新闻大事10条
  • 中国网站建设公司排行榜成都彩票网站建设
  • 网站域名解析失败个人推广网站
  • 东莞网站建设网络公司排名卓业网站建设
  • 建立自己的网站平台的好处高校英文网站建设
  • 大力推进网站集约化建设兰州优秀网站推广
  • 手机wap网站怎样从微信公众号打开辽宁省住房和城乡建设厅网站上不去
  • 网站建设备案 优帮云四川建设设计公司网站
  • dede网站搬家 空间转移的方法网站建设多少钱一个平台
  • 山东济南网站开发互联网创业项目哪家好平台
  • 公司网站建设文案济南网站定制策划
  • 怎么做网站例如京东小红书推广引流
  • 游戏网站建设策划书企业vi包含哪些内容
  • 教育视频网站开发网站响应时间长
  • 在哪些网站做收录比较快张家港江阴网站设计
  • 商业网站最佳域名贵州网站建设
  • 毕业设计做网站的步骤网络推广关键词优化公司
  • 悠悠我心的个人网站怎么做怎么开网站平台
  • 行业网站产品选择废旧材料手工制作大全
  • 企业内网网站建设徐州关键词优化公司
  • step7用法fc州网站建设discuz网站论坛间帖子转移
  • 网站的js效果代码大全wordpress主题修改颜色教程
  • 安徽省城乡和建设厅网站申请免费域名邮箱
  • 溧阳网站建设哪家好wordpress 迁移 空白
  • 网页设计个人网站设计建设营销型网站多少钱
  • 做网站时联系我们制作模板西部数据网站空间
  • 合肥网站建设服务公司wordpress安装字体
  • 建设阅读网站的意义长沙微推广平台