当前位置: 首页 > news >正文

苍南最好的网站建设公司松江区网站建设公司

苍南最好的网站建设公司,松江区网站建设公司,一个服务器可以做两个网站,企业官网有哪些网站在仿真中#xff0c;定义队列#xff0c;使用任务进行函数传递#xff0c;并传递文件名#xff0c;传递队列#xff0c;进行打印 $sformat(filename, “./data_log/%0d_%0d_%0d_0.txt”, f_num, lane_num,dt); 使用此函数可以自定义字符串#xff0c;在仿真的时候进行文件…在仿真中定义队列使用任务进行函数传递并传递文件名传递队列进行打印 $sformat(filename, “./data_log/%0d_%0d_%0d_0.txt”, f_num, lane_num,dt); 使用此函数可以自定义字符串在仿真的时候进行文件命名和传递数据的场景很多。 int file; task writeQueueToFile(string filename, reg [7:0] queue[$]);if (filename ) begin$display(Error: Invalid file name);return;endfile $fopen(filename, w);if (file 0) begin$display(Error: Unable to open file %s, filename);return;endforeach (queue[i]) begin$fwrite(file, %d\n, queue[i]);end$fclose(file);$display(Queue contents written to file %s, filename); endtask 创建一个data_log文件夹存入文件数据可以传入数字类型 $sformat(filename, ./data_log/%0d_%0d_%0d_0.txt, f_num, lane_num,dt);writeQueueToFile(filename, dl0_data);完整的测试方案 timescale 1ns/1ns module test_q_w (); reg [7:0]dl0_data[$];string filename;bit [1:0] lane_num; bit [10:0] f_num;reg [07:00] dt ; reg [31:00] data_in;initial begindl0_data.delete(); endint file; task writeQueueToFile(string filename, reg [7:0] queue[$]);if (filename ) begin$display(Error: Invalid file name);return;endfile $fopen(filename, w);if (file 0) begin$display(Error: Unable to open file %s, filename);return;endforeach (queue[i]) begin$fwrite(file, %d\n, queue[i]);end$fclose(file);$display(Queue contents written to file %s, filename); endtaskbit clk; bit wr_en;int wc d500; initial beginclk 0;forever begin#10ns; clk ~clk;end endalways (posedge clk) beginif(wr_end1)begindl0_data.push_back(data_in);data_in data_in1b1;if (data_in wc)beginwr_end0;endend endalways (negedge wr_en) begin$sformat(filename, ./data_log/%0d_%0d_%0d_0.txt, f_num, lane_num,dt);writeQueueToFile(filename, dl0_data);dl0_data.delete(); end initial beginwr_en 1;data_in 0;// #10usf_numd1;lane_num d1;dt d1;endinitial begin$display(fsdbDumpfilrs is start at %d,$time);$fsdbDumpfile(verilog.fsdb);$fsdbDumpvars();#1e9;$finish; end endmodule仿真结果 往文本中写入了500个数据
http://www.zqtcl.cn/news/587190/

相关文章:

  • 网站头部修改wordpress php幻灯片代码
  • 网络违法犯罪举报网站哪里有制作网站服务
  • 临沂怎么做网站网站 单页
  • 科技信息网站系统建设方案建筑设计专业世界大学排名
  • 做网站运营的简历小型视频网站建设
  • 福建省亿力电力建设有限公司网站网页设计html代码大全动物
  • 如何建网站赚取佣金企业网站的在线推广方法有
  • 嵌入式转行到网站开发免费秒玩小游戏
  • 采购网站排名不需要证件做网站
  • wordpress添加用户登录东莞网络公司seo优化
  • 哪些企业网站使用水墨风格设计免费
  • 河北邯郸做网站的公司哪家好云南建站公司
  • 网站开发如何给用户发邮件wordpress中文插件下载
  • 专业外贸网站建设公司排名网站错误列表
  • 魔站建站系统哪家好扬州网站开发公司电话
  • 合伙做网站网络公司网站建设首页
  • 网站建设项目经理深圳在线官网
  • 网站开发技术及应用wordpress自定义类型使用模板
  • 网站颜色 字体代销网站源码
  • 做二手车有哪些网站有哪些手续翠竹林wordpress主题
  • 商城网站开发报价单献县做网站价格
  • 做网站和推广需要多少钱诚信企业查询系统
  • c 2015 做网站网站设计技术有哪些?
  • 安丘网站开发主播网站建立
  • 档案网站的建设wordpress英文主题 汉化
  • 网站建设礼品南充网站建设工作室
  • 电子商务网站建设概念wordpress 扫码支付宝
  • 上海做网站谁好营销型网站框架图
  • 太仓企业网站建设价格wordpress自动同步插件
  • 微信网站是什么淄博周村网站建设哪家好