当前位置: 首页 > news >正文

htp免费域名注册网站推广包括哪些内容

htp免费域名注册网站,推广包括哪些内容,html教程 pdf,网站建设推推蛙在Verilog中使用任务task 1#xff0c;任务目的2#xff0c;RTL代码#xff0c;交换3#xff0c;测试代码4#xff0c;波形显示 1#xff0c;任务目的 #xff08;1#xff09;掌握任务在verilog模块设计中的应用#xff1b; #xff08;2#xff09;学会在电平敏感… 在Verilog中使用任务task 1任务目的2RTL代码交换3测试代码4波形显示 1任务目的 1掌握任务在verilog模块设计中的应用 2学会在电平敏感列表的always中使用拼接操作、任务和阻塞赋值等语句并生成复杂组合逻辑的高级方法。 当我们希望能够将一些信号进行运算并输出多个结果时采用函数结构就显得很不方便但是采用任务结构在这方面优势则十分突出。任务本身并不返回计算值但它通过类似c语言中的形参和实参的数据交换非常容易地实现运算结果的调用。 此外还常利用任务来包装模块设计中的许多复杂任务的过程将许多复杂的操作步骤用一个命名清晰易懂的任务隐藏起来大大提高程序的可读性。 2RTL代码交换 // 在verilog中 使用任务 task module sort4( ra, rb, rc, rd, a, b, c, d );input [3:0] a, b, c, d; output reg [3:0] ra, rb, rc, rd;reg [3:0] va, vb, vc, vd;always(a or b or c or d) begin{va, vb, vc, vd} {a, b, c, d};sort2(va, vc); // va, vc 互换sort2(vb, vd); // vb, vd 互换sort2(va, vb); // va, vb 互换sort2(vc, vd); // vc, vd 互换sort2(vb, vc); // vb, vc 互换{ra, rb, rc, rd} {va, vb, vc, vd}; endtask sort2; inout [3:0] x, y; reg [3:0] tmp;if(x y) begintmp x; // x与y变量的内容互换要求顺序执行则采用阻塞赋值方式x y;y tmp;end endtaskendmodule3测试代码 // 测试代码 module sort4_top; reg [3:0] a, b, c, d; wire [3:0] ra, rb, rc, rd;initial begina 0; b 0; c 0; d 0;repeat(50) begin #100 a {$random}%15;b {$random}%15;c {$random}%15;d {$random}%15; end#100 $stop; endsort4 u_sort4( .a (a), .b (b), .c (c), .d (d), .ra (ra), .rb (rb), .rc (rc), .rd (rd) );endmodule4波形显示
http://www.zqtcl.cn/news/511359/

相关文章:

  • 上海门户网站怎么登录网站开发竞价单页
  • 东莞市外贸网站建设公司软件开发 系统开发 网站开发服务
  • 泉州制作网站设计南宁网站排名外包
  • 南通网站建设入门wordpress google seo
  • 怎么建立图片的网站吗网站响应式是什么意思
  • 网站建设买了服务器后怎么做WordPress多城市
  • 网站建设凭证成都网站设计公司
  • 创新创业营销策略网站建设等做钢材的都用什么网站
  • 英文免费网站模板大庆+网站建设
  • 品牌网站建设内容框架网站首页收录没了
  • 湖南城乡住房建设厅网站网站图片切换效果
  • 凡科做的网站可以在百度搜到吗阿里云nas做网站
  • 做企业销售分析的网站更改wordpress传文件尺寸
  • 网站建设策划书封面知名企业名称
  • 中小企业网站建设与管理课件百度云济南高端网站建设公司
  • 台州企业建站程序网页设计素材网站知乎
  • wordpress视频付费谷歌seo专员是指什么意思
  • 域名续费做网站wordpress模板淘宝客模板
  • 加强政协机关网站建设深圳教育软件app开发
  • 绍兴企业网站推广Django 个人博客网站开发
  • html5 开发网站图门市建设局网站
  • wordpress 建立网站江苏电信网站备案
  • 企业网站能个人备案吗成都蜀美网站建设
  • 网站开发 占位符直播网站开发接入视频
  • 网站 易用性原则义乌进货网平台
  • 做网站的你选题的缘由是什么洛阳网站建设找汉狮
  • 常德住房和城乡建设局网站陕西省建设厅的网站
  • 国外做meta分析的网站开发公司替业主承担物业费承诺书
  • 百度收录网站定位地址wordpress 检测浏览器
  • 学习网站建设优化wordpress 轮播广告